> 文档中心 > ASIC芯片设计流程

ASIC芯片设计流程

作者:小白蒋,材料专业自学芯片验证,交流邮箱:jsujiang@yeah.net
最近在学习IC验证相关知识,整理了一下IC芯片设计流程,本人刚开始学习,不对的地方欢迎留言讨论

ASCI设计芯片流程

1、Marketing request(有市场需求)

2、Architecture Spec(编写架构文档)

3、Algorithm Emulation(做算法模型,评估下哪些可行,软件C/C++/matlab)

4、Design Spec(得到设计文档)

5、RTL coding(设计代码)

6、IP level RTL simulation(做IP级的仿真,EDA工具:Synospsys公司的VCS、Mentor公司的Questasim,Cadence公司的Incisive,脚本Makefile)

7、Unit/Chip level RTL simulation(做单元、片级仿真)

8、logic Synthesis(逻辑综合,逻辑综合工具有Cadence公司的Genus、Synopsys公司的Design、Compiler公司的DC),得到NetList(网表)

9、Gate level verification

10、等价性检查,形式验证,验证RTL与网表功能是否存在等价性,工具:Candence公司的conformal和Synopsys公司的Formaility,等价性检查主要是验证网表功能与RTL代码是否存在等价性。

11、STA(静态时序分析),在时序上对电路进行验证,检查电路是否存在建立时间(setupt ime)和保持时间(hold time)的违例(violation)

12、DFT(design for test)测试,在设计的时候,会额外加一些电路,专门用来对想测试的点做逻辑结构,通过功能引角对这些寄存器信号观察,这部分跟功能没有关系的代码叫DFT,DFT只是方便芯片回来进行外部测试。

--------------------------上面就是芯片设计前端工作,下面就是后端设计-----------------------------

13、版图生成,自动布局布线(APR:auto place & routing),自动布局布线工具:Cadence公司的Innovus,Synopsys公司的IC compiler(ICC)

14、时钟树插入,保证时钟到达寄存器的时间是一样的。

15、DRC/LVS,design rule check:检查是否满足电路特性要求(延迟、电容等),LVS:等价性检查

16、Post-layout STA

17、生成最终GSDⅡ,然后去流片。

ASIC芯片设计流程 高性能云服务器 ASIC芯片设计流程 精品线路独享带宽,毫秒延迟,年中盛惠 1 折起全民K歌电脑版